Intel ha annunciato il nodo di processo Intel 3, che offre prestazioni superiori fino al 18% a parità di energia utilizzata per un intero core del processore, un set flessibile di opzioni di interconnessione in metallo e una densità fino al 10% superiore rispetto al precedente nodo Intel 4. Questo rappresenta un progresso in termini di prestazioni di un’intera generazione ottenuto attraverso attente ottimizzazioni in quasi tutti gli aspetti del processo, dal transistor allo stack metallico. In particolare, il guadagno in termini di densità deriva dallo sviluppo di una nuova serie di librerie di celle standard ad alta densità.

Con il precedente nodo Intel 4, era stata introdotta la litografia EUV, una tecnologia complessa che influisce su molteplici aspetti diversi del processo, dal front-end dei transistor alle VIA e alle interconnessioni metalliche nel back-end. Il nodo Intel 4 è utilizzato nella famiglia di processori Intel Core Ultra, che ha inaugurato l’era degli AI PC con più di nove milioni di unità già consegnate.

intel 3

Il nodo Intel 3 è stato dichiarato pronto per entrare in produzione alla fine dello scorso anno ed è ora in produzione massiva presso il sito di ricerca e sviluppo di Intel in Oregon, mentre i chip sono in produzione massiva presso lo stabilimento di Leixlip, in Irlanda, compresi i processori per server nella piattaforma Intel Xeon 6.

Il nodo di processo Intel 3 include quattro varianti progettate e sviluppate in modo incrementale per ridurre i rischi e consentire un’esecuzione coerente. Queste varianti includono:

  • Il nodo Intel 3-T, che parte dal processo di base e offre through-silicon via (TSV) per applicazioni di stacking 3D come l’elaborazione delle immagini, il calcolo ad alte prestazioni e l’intelligenza artificiale, in cui è necessario integrare più componenti di calcolo e memoria in un unico pacchetto
  • Il nodo Intel 3-E, che aggiunge un ricco set di I/O per interfacce esterne, analogico e funzionalità a segnale misto, ampliando ulteriormente la famiglia
  • Il nodo Intel 3-PT, che combina tutti questi avanzamenti in un unico processo e migliora ulteriormente le prestazioni offrendo anche una facilità d’uso superiore grazie al supporto di TSV 9UM a passo più fine e opzioni di bonding ibrido per uno stacking 3D a densità ancora più elevata.

intel-3-processi-3-19-06-2024

“Siamo convinti che il nodo Intel 3-PT offra una combinazione unica di prestazioni, flessibilità e costi per un’ampia varietà di applicazioni. Essendo il più avanzato nodo di processo basato su FinFET, sarà un pilastro e verrà utilizzato insieme alle nuove tecnologie per molti anni a venire, sia per i clienti interni sia per quelli esterni delle fonderie”, ha dichiarato Walid Hafez, Foundry Technology Development Vice President di Intel.